Made timebase working with questasim

This commit is contained in:
lasse 2025-02-10 15:34:20 +01:00
parent 85b407fa65
commit 5aaa488a79
3 changed files with 27 additions and 2 deletions

6
.gitignore vendored
View File

@ -9,6 +9,12 @@ devenv.local.nix
obj_dir
output.vcd
work
*.mti
*.mpf
transcript
*.wlf
# pre-commit
.pre-commit-config.yaml

View File

@ -16,8 +16,8 @@ module timebase_tb();
initial begin
$dumpfile("output.vcd");
$dumpvars;
reset = 0;
reset = 1;
#10; reset = 0;
#200; reset = 1;
$finish;
end

View File

@ -14,3 +14,22 @@
# // 18 U.S.C. Section 1905.
# //
# OpenFile {C:/Users/Stan Oremus/OneDrive/Documenten/TU Delft Lasse Oremus/DSB/github/ch4/timebase.sv}
# file mkdir Line_follower_DSB
# Loading project Line_follower_DSB
# Compile of timebase_tb.sv was successful.
# Compile of timebase.sv was successful.
# Load canceled
vsim -novopt work.timebase_tb
# vsim -novopt work.timebase_tb
# Start time: 15:22:45 on Feb 10,2025
# ** Warning: (vsim-8891) All optimizations are turned off because the -novopt switch is in effect. This will cause your simulation to run very slowly. If you are using this switch to preserve visibility for Debug or PLI features please see the User's Manual section on Preserving Object Visibility with vopt.
# Refreshing C:/Users/Stan Oremus/OneDrive/Documenten/TU Delft Lasse Oremus/DSB/github/ch4/work.timebase_tb
# Loading sv_std.std
# Loading work.timebase_tb
# Refreshing C:/Users/Stan Oremus/OneDrive/Documenten/TU Delft Lasse Oremus/DSB/github/ch4/work.timebase
# Loading work.timebase
run 200ns
# ** Note: $finish : C:/Users/Stan Oremus/OneDrive/Documenten/TU Delft Lasse Oremus/DSB/github/ch4/timebase_tb.sv(22)
# Time: 200 ns Iteration: 0 Instance: /timebase_tb
# End time: 15:23:19 on Feb 10,2025, Elapsed time: 0:00:34
# Errors: 0, Warnings: 1